Verilog HDL实验练习与语法手册

本书是《数字系统设计-Verilog实现》的配套辅导用书,为想真正掌握Verilog HDL设计方法的读者精心设计了丰...

2006.01

数字逻辑与数字系统设计

本书是依照教育部电子信息与电气学科教学指导委员会2004年审定通过的《电子技术基础课程教学基本要求》和CC...

2006.01

数字系统设计与EDA技术

本书介绍了数字系统的设计方法,可编程逻辑器件等。

2005.10

数字系统设计与Verilog HDL

本书按照“器件—软件—设计语言”的顺序介绍数字系统设计的方法、CPLD/FPGA器件、典型的EDA设计软件和Verilog...

2005.08

非线性系统

非线性系统的研究近年来受到越来越广泛的关注,国外许多工科院校已将“非线性系统”作为相关专业研究生的学位...

2005.05

数字系统原理与应用

本书主要讲述逻辑电路的描述方法、组合逻辑电路、触发器、算术运算电路、计数器与寄存器、数字集成电路(TT...

2005.05

控制系统数字仿真与CAD

本书介绍了控制系统的实验方法,系统的数学描述与模型的建立等知识。

2005.03

数字逻辑与数字系统

本书根据数字逻辑器件的发展历程,系统地阐述数字逻辑系统的基本理论、分析方法和设计原理。突出基本原理及...

2005.01

数字系统设计

本书在《从算法设计到硬件逻辑的实现——复杂数字逻辑系统的Verilog HDL设计技术和方法》(2001高教版)基础...

2006.1

现代数字系统实验及设计

本书主要内容有:数字系统与设计工具;数字电路实验及设计;EDA技术实验及设计;实验器件与实验系统。

2005.09