数字电路与系统实践教程
暂无封面,等待上传

数字电路与系统实践教程

于海霞, 等编著

出版社:电子工业出版社

年代:2015

定价:29.8

书籍简介:

本书内容包括数字电路基础及逻辑门、组合逻辑电路、触发器、时序逻辑电路、存储器及可编程逻辑器件、555定时器、数/模与模/数转换、Verilog HDL硬件描述语言、FPGA设计开发软件QuartusII的使用、数字系统综合设计等教学内容实验目的、实验原理简介、实验中注意问题、实验内容、实验预习思考题、实验数据分析和总结思考题。附录包括:部分常用集成电路外部引线排列及功能表、DE2开发板简介、VHDL编程语言简介、MAXPLUSII使用练习、部分数字系统设计VHDL参考代码。

作者介绍:

于海霞,副教授,大连理工大学城市学院电子技术基础教研室主任。2012全国电子类专业人才设计与技能大赛辽宁赛区优秀指导教师;2013年大连理工大学城市学院教学成果一等奖;2013年辽宁省教育厅教学多媒体软件大赛二等奖;2013全国大学生电子竞赛辽宁赛区优秀指导教师。

书籍目录:

第1章 数字电路与系统实验基础知识 1

1.1 数字电路与系统实验基本知识 1

1.1.1 数字电路与系统实验的特点 1

1.1.2 数字电路与系统实验的基本过程 1

1.1.3 数字电路与系统实验的基本要求 2

1.2 集成逻辑门 3

1.2.1 逻辑门的分类和特点 3

1.2.2 常用COMS逻辑门 3

1.2.3 TTL集成逻辑门电路 6

1.2.4 ECL逻辑门 7

1.3 数字电路与系统实验中应注意的问题 8

1.3.1 掌握集成逻辑门的特性参数 8

1.3.2 正确选择和使用集成逻辑门 10

1.3.3 常见故障及排除方法 11

1.4 小结 12

1.5 问题与思考 12

第2章 数字电路与系统课程实验 14

2.1 基本逻辑门功能验证及组合逻辑电路设计 14

2.2 利用中规模集成电路设计组合逻辑电路实验 18

2.3 VHDL语言设计简单组合电路实验 22

2.4 VHDL语言设计复杂组合电路实验 33

2.5 利用触发器设计时序逻辑电路 40

2.6 利用中规模集成电路设计时序逻辑电路 43

2.7 VHDL语言设计时序电路实验 51

2.8 555定时器和数模/模数转换实验 61

2.9 数字电子钟逻辑电路实验 69

2.10 小结 74

第3章 VHDL语言介绍 75

3.1 VHDL概述 75

3.1.1 EDA技术的概述 75

3.1.2 VHDL设计流程 76

3.1.3 VHDL语言文字规则 77

3.2 VHDL语言程序基本结构 78

3.2.1 库与程序包 79

3.2.2 实体 80

3.2.3 结构体 82

3.3 数据类型和数据对象 83

3.3.1 数据类型 83

3.3.2 数据对象 85

3.4 VHDL运算符 86

3.4.1 算术运算符 86

3.4.2 逻辑运算符 87

3.4.3 关系运算符 87

3.4.4 其他运算符 88

3.5 VHDL的描述方法 88

3.5.1 行为描述方法 88

3.5.2 数据流描述方法 89

3.5.3 结构描述方法 90

3.6 并行语句 91

3.6.1 并发信号赋值语句 91

3.6.2 进程语句 93

3.6.3 块语句 94

3.6.4 元件例化语句 95

3.7 顺序语句 97

3.7.1 流程控制语句 97

3.7.2 等待语句 99

3.7.3 NULL语句 100

3.7.4 NEXT语句 100

3.8 小结 100

3.9 问题与思考 101

第4章 EDA软件介绍 102

4.1 Quartus II软件 102

4.1.1 Quartus II软件的设计流程 102

4.1.2 Quartus II软件的图形用户界面 104

4.1.3 Quartus II软件文本输入 107

4.1.4 Quartus II软件原理图输入 121

4.2 其他EDA软件 126

4.2.1 ISE软件 126

4.2.2 ModelSim软件 126

4.2.3 Max+plus II软件 126

4.3 小结 127

4.4 问题与思考 127

第5章 数字电路与系统课程设计基本知识 128

5.1 数字系统设计概述 128

5.2 数字系统设计描述工具 129

5.2.1 方框图 129

5.2.2 定时图 130

5.2.3 逻辑流程图 130

5.2.4 MDS图 131

5.2.5 控制器的实现 131

5.3 数字系统自顶向下设计方法 134

5.3.1 自顶向下设计的一般步骤 134

5.3.2 数字系统自顶向下设计举例 135

5.4 数字系统自底向上设计方法 145

5.4.1 自底向上设计的步骤及特点 145

5.4.2 数字系统自底向上设计举例 146

5.5 综合设计与功能实现 151

5.5.1 PLD/FPGA系统板的抗干扰设计 151

5.5.2 电路中毛刺现象的产生及消除 153

5.6 小结 155

5.7 问题与思考 156

第6章 数字系统课程设计实例 157

6.1 自动售邮票机控制电路 157

6.1.1 设计任务和要求 157

6.1.2 设计原理 157

6.1.3 主要参考设计与实现 157

6.2 数字密码锁 160

6.2.1 设计任务和要求 160

6.2.2 设计原理 160

6.2.3 主要参考设计与实现 160

6.3 乒乓游戏机 163

6.3.1 设计任务和要求 163

6.3.2 设计原理 163

6.3.3 主要参考设计与实现 164

6.4 数字钟 167

6.4.1 设计任务和要求 167

6.4.2 设计原理 167

6.4.3 主要参考设计与实现 168

6.5 数字秒表 172

6.5.1 设计任务和要求 172

6.5.2 设计原理 172

6.5.3 主要参考设计与实现 172

6.6 出租车计费器 176

6.6.1 设计任务和要求 176

6.6.2 设计原理 177

6.6.3 主要参考设计与实现 177

6.7 智力竞赛抢答计时器 181

6.7.1 设计任务和要求 181

6.7.2 设计原理 182

6.7.3 主要参考设计与实现 182

6.8 数字频率计 186

6.8.1 设计任务和要求 186

6.8.2 设计原理 186

6.8.3 主要参考设计与实现 186

6.9 函数发生器 190

6.9.1 设计任务和要求 190

6.9.2 设计原理 190

6.9.3 主要参考设计与实现 191

6.10 小结 199

6.11 问题与思考 199

附录A 可编程逻辑器件 201

A.1 概述 201

A.2 简单可编程逻辑器件 203

A.3 复杂可编程逻辑器件 204

A.4 现场可编程门阵列 205

A.5 可编程逻辑器件的发展趋势 206

附录B 常用功能模块及仿真 208

B.1 分频器 208

B.2 消抖电路 209

B.3 LED数码管显示控制器设计 210

附录C DE2实验仪简介 214

C.1 DE2简介 214

C.2 DE2开发板硬件资源 214

附录D DE2平台的引脚分配表 217

附录E 课程设计总结报告格式参考 220

附录F 常用集成芯片引脚排列图 224

参考文献 228

内容摘要:

《数字电路与系统实践教程》是辽宁省精品课程配套教材,是省级优秀教学团队的教学成果。全书共6章,主要内容包括:数字电路与系统实验基础知识、数字电路与系统课程实验、VHDL语言介绍、EDA软件介绍、数字电路与系统课程设计基本知识、数字系统课程设计实例。本书提供配套电子课件、程序代码和思考题答案等。

书籍规格:

书籍详细信息
书名数字电路与系统实践教程站内查询相似图书
9787121256462
如需购买下载《数字电路与系统实践教程》pdf扫描版电子书或查询更多相关信息,请直接复制isbn,搜索即可全网搜索该ISBN
出版地北京出版单位电子工业出版社
版次1版印次1
定价(元)29.8语种简体中文
尺寸26 × 19装帧平装
页数印数

书籍信息归属:

数字电路与系统实践教程是电子工业出版社于2015.5出版的中图分类号为 TN79 的主题关于 数字电路-系统设计-高等学校-教材 的书籍。