数字系统设计与Verilog HDL
数字系统设计与Verilog HDL封面图

数字系统设计与Verilog HDL

王金明, 编著

出版社:电子工业出版社

年代:2010

定价:39.9

书籍简介:

本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述了数字系统开发的相关知识,主要内容包括:EDA技术、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Ⅱ、Synplify Pro软件为平台,以Verilog-1995和Verilog-2001语言标准为依据,以可综合的设计为重点,以大量经过验证的数字设计实例为依据,系统阐述了数字系统设计的方法与技术,由浅入深地介绍了Verilog工程开发的知识与技能。

书籍目录:

第1章EDA技术概述

1.1EDA技术及其发展

1.2Top-down设计与IP核复用

1.2.1Top-down设计

1.2.2Bottom-up设计

1.2.3IP复用技术与SoC

1.3数字设计的流程

1.3.1设计输入

1.3.2综合

1.3.3布局布线

1.3.4仿真

1.3.5编程配置

1.4常用的EDA软件工具

1.5EDA技术的发展趋势

习题1

第2章FPGA/CPLD器件

第3章QuartusⅡ集成开发工具

第4章Verilog设计初步

第5章Verilog语法与要素

第6章Verilog行为语句

第7章Verilog设计的层次与风格

第8章Verilog有限状态机设计

第9章Verilog设计进阶

第10章Verilog设计的优化

第11章Verilog仿真与验证

第12章Verilog语言的发

第13章通信与信号处理设计实例

附录

参考文献

内容摘要:

  本书的主要内容包括FPGA/CPLD器件、EDA设计工具(包括QuartusⅡ、SynplifyPro、ModelSim等)、Verilog硬件描述语言,以及一些典型的数字设计实例。书中的实例多数在Altera的DE2或DE270实验平台上进行了实际验证,并尽量给出程序综合与仿真的结果,以便于对照。此外,对于EDA软件工具、实验平台、设计案例均做了精心选择,是作者认为目前较有典型性和代表性的方案。  本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述数字系统开发的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog硬件描述语言等。全书以QuartusⅡ、SynplifyPro软件为平台,以Verilog1995和Verilog2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,系统阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。  读者对象:本书的特点是:着眼于实用,紧密联系教学实际,实例丰富。全书深入浅出,概念清晰,语言流畅。可作为电子、通信、微电子、信息、电路与系统、通信与信息系统及测控技术与仪器等专业本科生和研究生的教学用书,也可供从事电路设计和系统开发的工程技术人员阅读参考。  本书配有教学课件,可从华信教育资源网(www.hxedu.com.cn)免费下载。

书籍规格:

书籍详细信息
书名数字系统设计与Verilog HDL站内查询相似图书
丛书名EAD工具应用丛书
9787121124259
如需购买下载《数字系统设计与Verilog HDL》pdf扫描版电子书或查询更多相关信息,请直接复制isbn,搜索即可全网搜索该ISBN
出版地北京出版单位电子工业出版社
版次4版印次1
定价(元)39.9语种简体中文
尺寸26 × 18装帧平装
页数 420 印数

书籍信息归属:

数字系统设计与Verilog HDL是电子工业出版社于2011.1出版的中图分类号为 TP312 ,TP271 的主题关于 数字系统-系统设计 ,硬件描述语言,Verilog HDL-程序设计 的书籍。